/    /  Digital Logic-Excess-3 Code

Excess-3 Code

 

The excess-3 code is a non-weighted and self-complementary BCD code. The XS-3 code has a biased representation and plays an important role in arithmetic operations as it helps to resolve the deficiencies encountered while using the 8421 BCD code for adding two decimal digits whose sum is more than 9. It uses a special type of algorithm which is different from BCD or the binary positional number system. 

 

The Excess-3 Code for the decimals are as follows:

Decimal DigitExcess-3 Code
00011
10100
20101
30110
40111
51000
61001
71010
81011
91100

 

For example,

Let us take the decimal number 31.

 

DecimalBCDExcess-3
30011+00110110
10001+00110100

 

Reference

Excess-3 Code